pyEDAA.ProjectModel

View on PyPIReverse Dependencies (1)

0.4.3 pyEDAA.ProjectModel-0.4.3-py3-none-any.whl

Wheel Details

Project: pyEDAA.ProjectModel
Version: 0.4.3
Filename: pyEDAA.ProjectModel-0.4.3-py3-none-any.whl
Download: [link]
Size: 33599
MD5: aec8ccb6429716a96fc4f8d363685e9f
SHA256: 020e732bb943c957ef3ae29d3621f2041c19d3f1f5e0556a25775b25aba38373
Uploaded: 2023-07-07 22:09:41 +0000

dist-info

METADATA

Metadata-Version: 2.1
Name: pyEDAA.ProjectModel
Version: 0.4.3
Summary: An abstract model of EDA tool projects.
Author: Patrick Lehmann
Author-Email: Paebbels[at]gmail.com
Home-Page: https://GitHub.com/edaa-org/pyEDAA.ProjectModel
Project-Url: Documentation, https://edaa-org.GitHub.io/pyEDAA.ProjectModel
Project-Url: Source Code, https://GitHub.com/edaa-org/pyEDAA.ProjectModel
Project-Url: Issue Tracker, https://GitHub.com/edaa-org/pyEDAA.ProjectModel/issues
License: Apache-2.0
Keywords: eda project,model,abstract,xilinx,vivado,osvvm,file set,file group,test bench,test harness
Classifier: Operating System :: OS Independent
Classifier: Intended Audience :: Developers
Classifier: Topic :: Utilities
Classifier: Topic :: Scientific/Engineering :: Electronic Design Automation (EDA)
Classifier: License :: OSI Approved :: Apache Software License
Classifier: Programming Language :: Python :: 3 :: Only
Classifier: Programming Language :: Python :: 3.7
Classifier: Programming Language :: Python :: 3.8
Classifier: Programming Language :: Python :: 3.9
Classifier: Programming Language :: Python :: 3.10
Classifier: Programming Language :: Python :: 3.11
Classifier: Development Status :: 4 - Beta
Requires-Python: >=3.7
Requires-Dist: pyTooling (>=5.0.0)
Requires-Dist: pySVModel (>=0.3.5)
Requires-Dist: pyVHDLModel (>=0.27.1)
Requires-Dist: pySVModel (>=0.3.5); extra == "all"
Requires-Dist: pyTooling (>=5.0.0); extra == "all"
Requires-Dist: sphinxcontrib-mermaid (>=0.7.1); extra == "all"
Requires-Dist: sphinx (>=5.3.0); extra == "all"
Requires-Dist: autoapi (>=2.0.1); extra == "all"
Requires-Dist: sphinx-autodoc-typehints (>=1.19.5); extra == "all"
Requires-Dist: sphinx-fontawesome (>=0.0.6); extra == "all"
Requires-Dist: pyVHDLModel (>=0.27.1); extra == "all"
Requires-Dist: pySVModel (>=0.3.5); extra == "doc"
Requires-Dist: pyTooling (>=5.0.0); extra == "doc"
Requires-Dist: sphinxcontrib-mermaid (>=0.7.1); extra == "doc"
Requires-Dist: sphinx (>=5.3.0); extra == "doc"
Requires-Dist: autoapi (>=2.0.1); extra == "doc"
Requires-Dist: sphinx-autodoc-typehints (>=1.19.5); extra == "doc"
Requires-Dist: sphinx-fontawesome (>=0.0.6); extra == "doc"
Requires-Dist: pyVHDLModel (>=0.27.1); extra == "doc"
Provides-Extra: all
Provides-Extra: doc
Description-Content-Type: text/markdown
License-File: LICENSE.md
[Description omitted; length: 7564 characters]

WHEEL

Wheel-Version: 1.0
Generator: bdist_wheel (0.40.0)
Root-Is-Purelib: true
Tag: py3-none-any

RECORD

Path Digest Size
pyEDAA/ProjectModel/Attributes.py sha256=vggyzQoAd8FIIn_jdS6QHMHDGrU1IOmkY6_czt8Raks 4086
pyEDAA/ProjectModel/GHDL.py sha256=oITGeeoAoAfC42ed_dYtjDnEdhvyZVD7UKnRgWmLph0 3830
pyEDAA/ProjectModel/OSVVM.py sha256=BUFgDJCgBkAKFRDG67uORaMoMHowy3NGwDXWblsTBzc 8420
pyEDAA/ProjectModel/VHDL.py sha256=47DEQpj8HBSa-_TImW-5JCeuQeRkm5NMpJWZG3hSuFU 0
pyEDAA/ProjectModel/Verilog.py sha256=bR7Tljr_Nm4vYs5PRSNr4imZbjgG9rPWuVyqGgm5kQY 3820
pyEDAA/ProjectModel/__init__.py sha256=--DQUU6JVxhKZDwHT_1gHW0HFqheD5tbaFdPI0glfNE 49365
pyEDAA/ProjectModel/Altera/Quartus.py sha256=tZBW6z49oxKO0OW9pjYphxC5_KCLM5GjyAlSKkS2cpM 3939
pyEDAA/ProjectModel/Altera/__init__.py sha256=AKiVeNo3dzjxM2p9V3CwUNr9Av-SzeGoHYosCsTOdOY 3572
pyEDAA/ProjectModel/Intel/QuartusPrime.py sha256=yvlJ716QIKvQSSTx2yWJUVecHtJjR9tTB4BKlJaaLgc 3949
pyEDAA/ProjectModel/Intel/__init__.py sha256=vqa5ptAP-uviapgsB7bnEq-Wycp7kr0ky-Du2zUGey0 3577
pyEDAA/ProjectModel/MentorGraphics/ModelSim.py sha256=rC0ucr5S5YlMQFO3qCOCPw86grmc7J4_hGEcSZwIzA0 3910
pyEDAA/ProjectModel/MentorGraphics/QuestaSim.py sha256=LzMc1J6BAVDTi8GGfnO79ZZ3br4dPwjbzbAAmX2bYzo 3911
pyEDAA/ProjectModel/MentorGraphics/__init__.py sha256=7FwfKI9dT5AAWk8fL-zK_R6Q3W-9O8QLNo_Y6PyIPqE 3582
pyEDAA/ProjectModel/Xilinx/ISE.py sha256=LBKcrjWOmQ-K-HHDP9U5x9hftysrgZyzY5KWCicZUz8 3821
pyEDAA/ProjectModel/Xilinx/Vivado.py sha256=r7hNA47tQEjeFZLh0iQWujIkQFLKlJ8HNnFwAE-eLrw 8986
pyEDAA/ProjectModel/Xilinx/__init__.py sha256=GqumsbNk5ZxsuguSLEHSol4pAgcZQO-qdMXCGgpbe1A 3555
pyEDAA.ProjectModel-0.4.3.dist-info/LICENSE.md sha256=DF4r-Jbsz_ZASY4VjV0ZZVaaHYkH9a20BkVExpq8doE 10571
pyEDAA.ProjectModel-0.4.3.dist-info/METADATA sha256=ZtIplA2T_ZZUKV2V0OMjfOU0v3S0TCfOOv9PiaidgXw 9905
pyEDAA.ProjectModel-0.4.3.dist-info/WHEEL sha256=pkctZYzUS4AYVn6dJ-7367OJZivF2e8RA9b_ZBjif18 92
pyEDAA.ProjectModel-0.4.3.dist-info/top_level.txt sha256=J--2xhNglJhlQP4zh2M9bH0LpnKvmezMIcug4ZVSc9A 7
pyEDAA.ProjectModel-0.4.3.dist-info/RECORD

top_level.txt

pyEDAA