pyslang

View on PyPIReverse Dependencies (0)

6.0 pyslang-6.0-cp39-cp39-win_amd64.whl
pyslang-6.0-cp39-cp39-macosx_10_15_x86_64.whl
pyslang-6.0-cp39-cp39-macosx_10_15_universal2.whl
pyslang-6.0-cp39-cp39-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl
pyslang-6.0-cp38-cp38-win_amd64.whl
pyslang-6.0-cp38-cp38-macosx_10_15_x86_64.whl
pyslang-6.0-cp38-cp38-macosx_10_15_universal2.whl
pyslang-6.0-cp38-cp38-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl
pyslang-6.0-cp37-cp37m-win_amd64.whl
pyslang-6.0-cp37-cp37m-macosx_10_15_x86_64.whl
pyslang-6.0-cp37-cp37m-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl
pyslang-6.0-cp312-cp312-win_amd64.whl
pyslang-6.0-cp312-cp312-macosx_10_15_x86_64.whl
pyslang-6.0-cp312-cp312-macosx_10_15_universal2.whl
pyslang-6.0-cp312-cp312-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl
pyslang-6.0-cp311-cp311-win_amd64.whl
pyslang-6.0-cp311-cp311-macosx_10_15_x86_64.whl
pyslang-6.0-cp311-cp311-macosx_10_15_universal2.whl
pyslang-6.0-cp311-cp311-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl
pyslang-6.0-cp310-cp310-win_amd64.whl
pyslang-6.0-cp310-cp310-macosx_10_15_x86_64.whl
pyslang-6.0-cp310-cp310-macosx_10_15_universal2.whl
pyslang-6.0-cp310-cp310-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl

Wheel Details

Project: pyslang
Version: 6.0
Filename: pyslang-6.0-cp38-cp38-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl
Download: [link]
Size: 3626676
MD5: 65f497ff4f68850e19577abf8d5b598d
SHA256: 4760e01ad02b4819f498e2972514f33d9147c1332fea526ad9a6035a0b4b72e0
Uploaded: 2024-04-22 03:09:05 +0000

dist-info

METADATA

Metadata-Version: 2.1
Name: pyslang
Version: 6.0
Summary: Python bindings for slang, a library for compiling SystemVerilog
Author: Mike Popoloski
Project-Url: Homepage, https://sv-lang.com/
Project-Url: Documentation, https://sv-lang.com/
Project-Url: Repository, https://github.com/MikePopoloski/pyslang
Project-Url: Issues, https://github.com/MikePopoloski/slang/issues
Project-Url: Changelog, https://github.com/MikePopoloski/slang/blob/master/CHANGELOG.md
Keywords: slang,verilog,systemverilog,parsing,compiler,eda
Classifier: Development Status :: 5 - Production/Stable
Classifier: Intended Audience :: Developers
Classifier: License :: OSI Approved :: MIT License
Classifier: Operating System :: MacOS :: MacOS X
Classifier: Operating System :: Microsoft :: Windows
Classifier: Operating System :: POSIX :: Linux
Classifier: Operating System :: Unix
Classifier: Programming Language :: C++
Classifier: Programming Language :: Python :: 3
Classifier: Topic :: Scientific/Engineering :: Electronic Design Automation (EDA)
Classifier: Topic :: Software Development :: Compilers
Classifier: Topic :: Software Development :: Libraries :: Python Modules
Requires-Dist: pytest; extra == "test"
Provides-Extra: test
Description-Content-Type: text/markdown
[Description omitted; length: 4063 characters]

WHEEL

Wheel-Version: 1.0
Generator: scikit-build-core 0.9.2
Root-Is-Purelib: false
Tag: cp38-cp38-manylinux_2_27_x86_64
Tag: cp38-cp38-manylinux_2_28_x86_64

RECORD

Path Digest Size
pyslang.cpython-38-x86_64-linux-gnu.so sha256=bvKQm3Eqn0QmotvL_M4ua2_Snoegep1JjaRk6U7-4e8 11056608
pyslang-6.0.dist-info/METADATA sha256=IWoDQNRh9h51T6GqOjDKLTcpWNTtMTtkagic4vHaCEo 5318
pyslang-6.0.dist-info/RECORD
pyslang-6.0.dist-info/entry_points.txt sha256=47DEQpj8HBSa-_TImW-5JCeuQeRkm5NMpJWZG3hSuFU 0
pyslang-6.0.dist-info/WHEEL sha256=h_k0-bnIffHzgnF5d4YnMIP7lK7v3Lm-PfAlnFZg-90 152
pyslang-6.0.dist-info/licenses/LICENSE sha256=Gl0mTA8hvnSdTZ3QxrIYAfeNUqqX5lzJxtz6VpNUBpU 1061

entry_points.txt

[empty]